Liczby losowe Generator

S

StevieChalmers

Guest
I need a generator liczb losowych
napisany w VHDL do generowania liczb naturalnych
od 0 do 15 lat.Czy ktoś ma nic
podobne do niej (jak każdy liczb naturalnych
generator)?

Każda pomoc będzie bardzo mile widziane.
Z góry dziękuję.

 
http://www.opencores.org/projects.cgi/web/rng_lib/overview
Biblioteka ta posiada funkcje służące do wytwarzania wysokiej jakości liczb losowych w testbench VHDL środowiska.Funkcje nie będą syntetyzować.

 
hi, jeżeli funkcje nie są synthesizable oznacza Nie możemy też pobrać ją na FPGA i zrealizować je?Chcę potwierdzić, bo frenz ostatecznego projektu lat może wymagać generator liczb losowych w ramach swojego projektu, a projekt musi w końcu stanie się pobrać w FPGA i reliaze to ...dzięki

 
Library IEEE;
USE use IEEE.STD_LOGIC_1164.ALL;

PSP podmiotu
PORT (clk: in std_logic;
reset: in std_logic;
init_value: in std_logic_vector (8 downto 0);
dout: out bit);
PSP END;

Rus ARCHITEKTURA PSP

SIGNAL reg: std_logic_vector (8 downto 0);

BEGIN

process (clk, reset init_value)
zacząć
jeśli reset = '1 'then
reg <= init_value;
elsif rising_edge (CLK) then
reg <= (reg (4) xor reg (0)) & reg (8 downto 1);
end if;
end process;

dout <= reg (0);

Rus END;

 
Hi stosowania 4-stopniowy de Bruijn generator sekwencji i wszelkie cztery bity jako wyjścia.
Do około de Bruijn typu genenerator "de Bruijn sekwencji" w Google.

 
możesz po prostu korzystać z dowolnej liczby D-FF (w zależności od długości słowa trzeba wygenerować w swoim liczb losowych jako liczby Klapki równej liczby bitów w słowie) kaskady tych FF z wyjściem na ostatni etap związany z wejściem Pierwszy etap po XNOR lub XOR bramy ..będzie generować liczb losowych n-bitów ..możesz po prostu użyć VHDL, aby uzyskać wymagany kod jest ..

Mam nadzieję, że helpls ..
Ahmad,

 
To jest często zadawane pytanie:
Patrz punkt 4.10 http://tech-www.informatik.uni-hamburg.de/vhdl/doc/faq/FAQ1.html

Na coś synthesizable: patrz tutaj
http://www.fe.infn.it/fisicacomputazionale/ssue/rng/index.htm

 
Kod HDL wysłana powyżej działa, ale chciałbym polecić przy dłuższym rejestru przesuwającego.Dłuższe rejestrów powtarzać mniej Frequenty.

 

Welcome to EDABoard.com

Sponsor

Back
Top