VHDL pomoc

B

balakrishna

Guest
cześć,

Chcę napisać VHDL kod dół licznika.Po zmniejsza liczbę do zera, licznik ma do wygenerowania impulsu, aby wskazać count = 0.Ten czas trwania impulsu powinien być les niż czas trwania impulsu zegara.

Prosimy ktoś mi pomóc napisać kod.

I już writtened kod do tego.Ale problem jest, impulsu jest stale wysoki.Używam tego impulsu umożliwiające impuls w kodzie.Puls jest logiczne '1 'stan ciągłego więc przyczyny problemu.

Thanks & regds,

Balakrishna

 
Hi Balakrishna,

Plz put a jeśli warunek podobne

jeżeli licznik = 0 then

impulsu <= '1 ';

w przeciwnym razie

impulsu <= '0 ';

end if;

Warunek ten powinien być pod zegarem, którego zamierzasz używać.Z tego warunku puls będzie równy jeden zegar czas na wysokim poziomie.

Please try to i daj mi znać.

Pozdrowienia,
Sachin

 
Check this out!
Hope this helps!

Kod:

library IEEE;

use IEEE.STD_LOGIC_1164.all;

use IEEE.STD_LOGIC_UNSIGNED.ALL;dcounter podmiotuport (

rst: in std_logic;

CLK: in std_logic;

ld: in std_logic;

DIN: in std_logic_vector (7 downto 0);

puls: out bit);dcounter końca;Architektura zachowywać się w dcounter jest

Ilość sygnałów: std_logic_vector (7 downto 0);

Sygnał count_nx: std_logic_vector (7 downto 0);

begin - zachowywać się

z (count_nx / = "00000000" i count = "00000000") wybierz

impulsu <= '1 ', gdy prawda

"0", gdy inni;process (CLK, RST)

begin - proces

jeśli rst = '1 'then - asynchroniczne wyzerowanie (aktywny wysoki)

licznik <= (others => '0 ');

elsif clk'event i CLK = '1 ', a następnie - Wzrastające zbocze zegara

count <= count_nx;

end if;

end process;Proces (wizytówki, LD)

begin - proces

jeśli ld = '1 'then

count_nx <= DIN;

w przeciwnym razie

count_nx <= count - 1;

end if;

end process;

celu zachowania;
 
Tworzenie impuls mniej niż jeden cykl zegara, nigdy nie jest notmally potrzebne.Także to nie jest dobry appriach projektowania konstrukcji pełni sunchonoes jest.
Normalnie zawsze można na projekt, to pełny impuls zegara może być używany.Tworzenie mniejszych impulsów, należy i outptu z Flipflop z sygnałem zegara, ale może to spowodować pewne problemy.

 

Welcome to EDABoard.com

Sponsor

Back
Top