V
voho
Guest
Cześć wszystkim podziękować w góry
Kiedy i my i syntezy znaleziono kod błędu:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
- zmiany
zmiana podmiotu
port (
C ALOAD: in std_logic;
SI: in std_logic: = '0 ';
D: in std_logic_vector (99 downto
0): = x "0000000000003FFFFFFFFFFFF";
tmpW: out std_logic_vector (99 downto 0);
SO: out bit);
przesunięcie zakończenia;
archi Architektura Shift
signal tmp: std_logic_vector (99 downto 0);
zacząć
(C, ALOAD, D procesu)
zacząć
if (ALOAD = 1), a następnie
tmp <= D;
elsif (zdarzenie C i C = 1), a następnie
tmp <= tmp (98 downto 0) & SI;
end if;
end process;
SO <= tmp (99);
tmpW <= tmp lub D;
Archi końca;
Przepraszamy, ale musisz się zalogować, aby wyświetlić ten załącznik
Kiedy i my i syntezy znaleziono kod błędu:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
- zmiany
zmiana podmiotu
port (
C ALOAD: in std_logic;
SI: in std_logic: = '0 ';
D: in std_logic_vector (99 downto
0): = x "0000000000003FFFFFFFFFFFF";
tmpW: out std_logic_vector (99 downto 0);
SO: out bit);
przesunięcie zakończenia;
archi Architektura Shift
signal tmp: std_logic_vector (99 downto 0);
zacząć
(C, ALOAD, D procesu)
zacząć
if (ALOAD = 1), a następnie
tmp <= D;
elsif (zdarzenie C i C = 1), a następnie
tmp <= tmp (98 downto 0) & SI;
end if;
end process;
SO <= tmp (99);
tmpW <= tmp lub D;
Archi końca;
Przepraszamy, ale musisz się zalogować, aby wyświetlić ten załącznik