C
chopic
Guest
Witam =] mam napisać kod VHDL dla 4-bitowy sumator korzystając z pakietu ieee.numeric_std.all. więc trochę napisał poczatku ale mój problem jest i nie wiem jak dodać do std_logic_vector (ów) pojedynczego bitu std_logic ust nosić w): library IEEE; używać ieee.std_logic_1164.all, wykorzystanie ieee.numeric_std. wszystkich; Ader podmiot jest port (
, b: w std_logic_vector (3 downto 0); carry_in: w std_logic; współpraca: bufor std_logic; s: bufor std_logic_vector (3 downto 0));
Ader end; architektura arc_ader z Ader jest rozpocząć proces (a, b, carry_in) zaczynają s