Jak można rozwiązać ten problem?

L

lupineye

Guest
a ja staram się test diody i przełączniki na pokładzie FPGA, mam ten komunikat.
Jestem obecnie przy Xilinx spartanII 208pin na pokładzie.ERROR: Paczka: 1107 - Nie można połączyć się następujące symbole w jednym IOB
komponent:
Symbol PAD "input_1" (PAD Signal = input_1)
Symbol BUF "input_1_IBUF" (Sygnał wyjściowy = input_1_IBUF)
Każdy z następujących ograniczeń określa nielegalne fizyczne witrynie
element typu IOB:
Symbol "input_1" (LOC = P77)
Proszę poprawić w związku z ograniczeniami.

Rozwiązanie firmy Xilinx stronie znajduje się poniżej.
Rozwiązanie 1:
GCLK OI może korzystać tylko z IBUFGs, więc narzędzie nie jest w stanie zapakować IBUF w IOB.Aby obejść ten problem, należy określić, że net use IBUFG.Można to zrobić przez instancji go w kodzie lub dodawanie BUFFER_TYPE ograniczenie do kodu z wartością ustaloną dla IBUFG.Składnia tych można znaleźć w Instrukcje Software

Dowiedziałem się, że moją winą jest to, że staram się korzystać GCLK ogólnej wejściowych.

tak kładę ibufg części określić netto związane z IOPAD i BUFG.

simpleLEDtest podmiotu
Port (przycisk: in std_logic;
LED: out bit);
simpleLEDtest końca;

architecture Behavioral of simpleLEDtest jest

ibufg części
port (
I: std_logic;
O: std_logic);
end component;buttontemp sygnał: STD_LOGIC;

zacząćibufg0: ibufg port map (I => przycisk, o => buttontemp);

LED <= buttontemp;

end Behavioral;

Wiem, że się mylę!proszę podać porady dla mnie!
Jestem rozrusznik z FPGA.więc proszę zostawić mnie jakąś radę rozwiązać ten problem

Dzięki!

 
Czy korzystasz XC2S200 chip?

Nie wiem, VHDL na tyle dobrze, aby pomyślnie skompilować kod, więc tutaj dwa przykłady Verilog, które syntetyzują grzywny w ISE 9.1i.
Zwróć uwagę na ograniczenie BUFFER_TYPE w pierwszym przykładzie, a instancji IBUFG w drugim przykładzie.
W składni VHDL przymusu, wyszukiwanie XST Instrukcja obsługi "BUFFER_TYPE".

Kod:

Moduł simpleLEDtest (przycisk, dioda LED);

(* LOC = "P77" BUFFER_TYPE = "IBUFG" *) Przycisk wprowadzania;

(* LOC = "P87" *) wyjście LED;

przypisać LED = przycisk;

endmodule
 

Welcome to EDABoard.com

Sponsor

Back
Top