Jak korzystać z pamięci RAM blok

K

Kalla Ravindra

Guest
CZY ktoś powiedz mi, jak korzystać BRAM W Xilinx.
PLEASE SEND ME referencyjne DOBRY.

Należy również powiedzieć, jak przechowywanie jakichkolwiek wartości BRAM.
Dziękując YOU
HAVE A NICE DAY

 
HI jest to łatwe Wystarczy przejść do XST USER GUIDE AND SEE technik kodowania HDL sekcji znajdziesz wiele przykładów, w tym w jaki sposób zainicjować BRAM ... tam też pamiętać, wniosek o BRAM w Xilinx stronie.

 
RAM blok ten może być instancji na dwa sposoby.
jeden jest przy użyciu podstawowych generatora dostarczonych przez Xilinx.
Innym sposobem jest utworzenie instancji komponentu z UNISIM biblioteki.
I'have zrobić drugi i działa poprawnie.
pierwszy u go to ur Xilinx folder przychodzi, gdy u instancji Xilinx oprogramowania.
następnie goto folderze VHDL (lub vhdl_src) w tej sprawie.
nie u'll znaleźć kod UNISIM plik
u kompilacji, że i umieszczenie go w bibliotece.
właśnie dobiegają kod będzie działać. Jest to 512 x 8 pamięci RAM. więcej szczegółów u Xilinx dokumentację online.

[/ code]
- Pamięć danych przy użyciu UNISIM RAM blok
library IEEE;
use IEEE.STD_LOGIC_1164.all;
UNISIM biblioteki;
unisim.all wykorzystania;
dmemory podmiotu
port (read_data: out std_logic_vector (7 downto 0);
Adres: w std_logic_vector (7 downto 0);
zegar, reset: w STD_ULOGIC;
WRITE_DATA: in std_logic_vector (7 downto 0);
memread, memwrite: in std_logic);
dmemory końca;

Architektura instancji dmemory jest
RAMB4_S8 części
generic (
INIT_00,
INIT_01,
INIT_02,
INIT_03,
INIT_04,
INIT_05,
INIT_06,
INIT_07,
INIT_08,
INIT_09,
INIT_0A,
INIT_0B,
INIT_0C,
INIT_0D,
INIT_0E,
INIT_0F: bit_vector: = X "0000000000000000000000000000000000000000000000000000000000000000"
);

(WE, PL, RST, CLK: port in std_logic;
Addr: in std_logic_vector (8 downto 0);
DI: in std_logic_vector (7 downto 0);
DO: out std_logic_vector (7 downto 0));
end component;

Sygnał logic0, logic1: STD_LOGIC;
temp. sygnał, to: STD_LOGIC;
Sygnał Address1: std_logic_vector (8 downto 0);
CLK sygnał: STD_LOGIC;

zacząć

logic1 <= nie reset;
temp <= memwrite memread xor;
We <= temp i (memwrite i (nie memread));
Address1 <= "0" i adres;
clk <= nie zegara;
RAMB4_S8_INSTANCE_NAME: RAMB4_S8

generic map (
INIT_00 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123450808050406"
INIT_01 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF"
INIT_02 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF"
INIT_03 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF"
INIT_04 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF"
INIT_05 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF"
INIT_06 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF"
INIT_07 => X "0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF0123456789ABCDEF"
INIT_08 => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210"
INIT_09 => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210"
INIT_0A => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210"
INIT_0B => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210"
INIT_0C => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210"
INIT_0D => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210"
INIT_0E => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210"
INIT_0F => X "FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210FEDCBA9876543210")
port map (WE => my, PL => logic1, RST => reset, CLK => CLK, addr => Address1, DO => read_data, DI => WRITE_DATA);
np. koniec;

Kod:

 
cześć,
Język Template Xilnx ISE jest starannie wyjaśnia, jak używać BRAM w ur projektu.
Należy więc sprawdzić, że obecnie

 
Zamówienie w przewodniku XST użytkownika (temat: HDL technik kodowania).znajduje się w Xilinx folderze instalacji wewnątrz dokumentów.

 
Czy któreś z Was wie w jaki sposób zainicjować sprawdzić tabele??do wykorzystania jako pamięć??

 
w przypadku stosowania u ISE Xilinx, to po prostu użyć COREGENERATOR, jest to najbardziej najprostszy i najbardziej optymalne i methode myśleć .....dać u wszystkich u opcje mogą myśleć ...

 
Co to jest podstawowy generator proszę .... generuje IP .... nie jest to prawo ...???
to jest za darmo??można go pobrać z witryny firmy Xilinx
dzięki
Salma: D

 
vahidkh6222 napisał:

w przypadku stosowania u ISE Xilinx, to po prostu użyć COREGENERATOR, jest to najbardziej najprostszy i najbardziej optymalne i methode myśleć .....
dać u wszystkich u opcje mogą myśleć ...
 
Salma Ali Bakr napisał:

Co to jest podstawowy generator proszę .... generuje IP .... nie jest to prawo ...???

to jest za darmo??
można go pobrać z witryny firmy Xilinx

dzięki

Salma: D
 

Welcome to EDABoard.com

Sponsor

Back
Top