Błąd: Node przykład "u1" instancję nieokreślony

Y

YeeDeeAii

Guest
W tej sekcji byłem problemy z: library IEEE; KORZYSTANIA IEEE.STD_LOGIC_1164.ALL; IEEE.STD_LOGIC_UNSIGNED.ALL UŻYTKOWANIA, NoteTabs podmiot PORT (CLK: in std_logic; ToneIndex: OUT std_logic_vector (3 downto 0)); END; ARCHITEKTURA jednym z NoteTabs jest port MUSIC COMPONENT (adres: W std_logic_vector (7 downto 0); inclock: in std_logic; q: OUT std_logic_vector (3 downto 0)); COMPONENT END; SIGNAL Licznik: std_logic_vector (7 downto 0); BEGIN CNT8: PROCES (clk, Licznik) BEGIN IF Licznik = 138 THEN Licznik
 
I nie bardzo rozumiem? "Music" jest składową? Tylko jednostka może być odwzorowany portu? Szukałem inny przykład: library IEEE; używać IEEE.STD_LOGIC_1164.all; podmiot MUX2 jest port (SEL, A, B: w std_logic; F: obecnie std_logic); koniec; STRUKTURA architektury MUX2 jest składnikiem INV portu :) w std_logic; F: obecnie std_logic); składnik koniec; składnik AOI portu (A, B, C, D: w std_logic; F: obecnie std_logic); składnik końca, sygnał Selb: std_logic; rozpocząć G1: INV portu map (SEL, Selb); G2: AOI portu mapie (SEL, Selb, B, F) end; I to runns ok
 
Problemy rozwiązane! Z jakiegoś powodu, Usunąłem plik, który definiuje jednostkę, ale zapomniałem o tym. Mimo to, dzięki za pomoc!
 

Welcome to EDABoard.com

Sponsor

Back
Top